010-82938771
010-82938771
ANSYS Simplorer
电机设计 电池包热管理
产品概述 功能特点
产品概述

ANSYS Simplorer 是一个强大的平台,可为系统级数字原型建模并进行仿真和分析。 Simplorer 支持你验证和优化软件控制型多域系统的性能。Simplorer 具有灵活的建模功能,并与 ANSYS 3-D 物理仿真和嵌入式软件设计产品紧密集成,广泛支持装配和仿真系统级物理模型,帮助你进行概念设计、详细分析和系统验证。

如何使用 Simplorer?
Simplorer 在基于模型的设计流程中用于建模和仿真汽车、航空航天、电子、能源以及工业机械设备领域的多域系统。Simplorer 具有集成电力电子、多域物理和嵌入式软件的独特能力,广泛用于电力驱动系统设计、发电、电力转换、蓄电和配电应用以及 EMI/EMC 研究和通用多域系统优化与验证。

电力电子系统谱系统
ANSYS Simplorer 在仿真和分析复杂电力电子系统方面拥有成功的悠久历史。Simplorer 库包含丰富多样的详细系统级组件,能够以电力驱动系统开发各个阶段所需的不同保真度支持建模。Simplorer 成熟的求解器技术设计目的是高效准确地处理电力电子电路的高度非线性属性,包括系统时间常数和多域效应的混合。强大的波形分析工具可帮你分析响应,提取测量结果,并执行系统行为的详细研究。


功能特点

强大的图形建模功能

创建复杂电力电子电路和 多域系统的分层示意图。采用标准语言和交换格式建模,包括:VHDL-AMS (IEEE 1076.1)、Modelica、SML (Simplorer 建模语言)、FMI (功能模型接口)、C/C++、SPICE

其他特点包括:使用向导驱动的代码编辑器创建 VHDL-AMS、Modelica?、SML、C/C++ 和 SPICE 模型

              结合保守(非因果)、信号流(因果)和离散事件系统行为

              使用即时设计检查工具,以确保连接类型和物理域的一致性

广泛的模型库

Simplorer 的内置库提供了丰富的组件集合,用于创建完整的系统模型。用户可以从多个物理域和多个级别的保真度中选择模型,以适当的细节水平捕获所需的系统动态。Simplorer 模型很方便进行参数化,以复制物理组件行为。Simplorer 库包括模拟和电源电子组件;控制模块和传感器;机械组件;液压组件;数字和逻辑模块;用于航空电子网络、电动车辆和电力系统的应用特定库;以及特征制造商组件。Simplorer 支持 Modelon AB 提供的 Modelica Standard Library 和 Modelica 库,包括用于液压、气动,液体冷却、热交换器和热功率的库。

连接 ANSYS 3-D Physics

Simplorer 与 ANSYS 基于物理的仿真技术相结合,将 3-D 的细节带入系统环境。降阶模型 (ROM) 功能通过详细的 3D 物理仿真生成准确高效的系统级模型。Simplorer 使用 ANSYS Structural、Fluids、Electromagnetics 和 Semiconductor 产品产生的 ROM 模拟机械组件、电磁制动器和机器、电路和电缆寄生、热网络和信号完整性。使用 3-D 物理求解器的协同仿真保持了 FEA 和 CFD 的全部精度,用于对流体组件和流动网络、刚性和柔性体机械组件以及电机和制动器建模。

基于标准的互操作性

Simplorer 为功能模型接口 (FMI) 标准提供优先支持,使你能够将各种来源的模型组合成完整的系统描述。现在有超过 100 个工具正式支持 FMI 模型导出和协同仿真,包括 GT-SUITE、CarSim、Amesim 和 Dymola ,完整的系统模型可以在 Simplorer 中组装,以利用其高性能求解器,并与 ANSYS 3-D Physics 链接。其他接口允许直接集成 C/C ++ 代码和 MathWorks Simulink 模型。Simplorer 模型也可以导出,以用于兼容 FMI 的环境。

强大的高性能求解器架构

通过几十年来在多域系统仿真领域的应用,Simplorer 求解器技术已经成熟。利用自适应时间步长控件和复杂的求解器同步功能,Simplorer 可以对连续时间、离散时间和模拟/混合信号行为进行高效、精确的仿真。Simplorer 仿真可以在高性能计算资源上执行,并且可以并行执行多运行分析,以提高仿真吞吐量。

基于仿真的测试环境

Simplorer 为系统行为测试提供了一个完整的环境。基本仿真实验可以在稳态、时域和频域中进行,而高级测试套件为自动化复杂的多运行分析提供了框架。

可以在定义的范围内扫描参数值,以了解对系统响应的影响。系统性能可以基于指定设计变量的成本函数,使用内置算法或与 ANSYS DesignXplorer 结合进行优化。用户可以识别系统敏感性和最坏情况条件,分析统计变化和制造公差对系统性能的影响。

强大的波形分析和后处理

Simplorer 为仿真结果的分析和后处理提供了一个完整的环境。强大的图形波形绘图功能使你能够以各种 2-D 和 3-D 显示和表格格式显示时域和频域数据。图形和表格报告可以直接放置在模型图上,并随着仿真进程动态更新。大量的预定义测量和标记可以应用于图形报告,以从仿真输出中获取洞察力,自动化报告生成捕获指定的设计信息和结果。

灵活的脚本和定制

你可以自动化 Simplorer 仿真工作流程,并使用 Simplorer 的全面 Python API 和脚本环境创建自定义工具包。可以从 ANSYS App Store 下载其他模型库和应用程序扩展。

相关推荐
相关新闻
相关资源
QUICK CONTACT
快捷通道
新闻
燃烧反应
流体流动
结构强度
电磁电子
系统仿真
工程方案
在线报价
资源中心
我们